From e6d536e1b9c91a167eb79f288a17496712a330f2 Mon Sep 17 00:00:00 2001 From: Patrick Simianer Date: Mon, 19 Jan 2015 18:30:05 +0100 Subject: simplified --- dev/vc/0 | 1 - 1 file changed, 1 deletion(-) delete mode 120000 dev/vc/0 (limited to 'dev') diff --git a/dev/vc/0 b/dev/vc/0 deleted file mode 120000 index bea71cc..0000000 --- a/dev/vc/0 +++ /dev/null @@ -1 +0,0 @@ -../console \ No newline at end of file -- cgit v1.2.3